Пътната карта на процесите на Intel до 2025 г.: обяснение на Intel 7, 4, 3, 20A и 18A

click fraud protection

Intel очерта новите си процеси за следващите няколко години, но какво означава всичко това?

Intel току-що представи своите лаптоп процесори Meteor Lake заедно с Raptor Lake Refresh и с него дойде подновен ангажимент към пътната карта на процесния възел на компанията, която тя публикува за първи път през 2021 г. В тази пътна карта компанията заявява, че иска да изчисти пет възела за четири години, нещо, което никоя друга компания не е постигнала от години. Собствената пътна карта на Intel посочва, че има за цел да постигне „лидерство в процесите“ през 2025 г. Лидерството в процесите, според стандартите на Intel, е най-високата производителност на ват. Как изглежда пътуването до това?

Пътната карта на Intel до 2025 г.: кратък преглед

Източник: Intel

В горната пътна карта Intel завърши своя преход към Intel 7 и Intel 4, като Intel 3, 20A и 18A идват през следващите няколко години. За справка, Intel 7 е това, което компанията нарича своя 10nm процес, а Intel 4 е това, което нарича своя 7nm процес. Откъде идват имената (въпреки че може да се твърди, че са подвеждащи) е, че Intel 7 има много подобна транзисторна плътност на 7nm на TSMC, въпреки че Intel 7 е изграден по 10nm процес. Същото важи и за Intel 4, като WikiChip всъщност стига до заключението, че

Intel 4 е много вероятно да бъде малко по-плътен от 5nm N5 процес на TSMC.

С това казано, нещата стават много интересни с 20A и 18A. Твърди се, че 20A (2nm процес на компанията) е мястото, където Intel ще достигне „процесен паритет“ и ще дебютира с Arrow Lake и първото използване на PowerVia и RibbonFET от компанията, а след това 18A ще бъде 1.8nm, използвайки PowerVia и RibbonFET, също. За по-подробна разбивка вижте диаграмата, която направих по-долу.

В деня на планарните MOSFET транзистори нанометровите измервания имаха много по-голямо значение, тъй като бяха обективни измервания, но преминаването към 3D FinFET технология превърна нанометровите измервания в обикновен маркетинг условия.

Intel 7: Къде сме сега (донякъде)

Източник: Intel

Intel 7 е това, което преди беше известно като Intel 10nm Enhanced SuperFin (10 ESF), а компанията по-късно го преименува на Intel 7 в това, което по същество беше опит да се приведе в съответствие с конвенциите за именуване на останалата част от измислицата индустрия. Въпреки че може да се твърди, че е подвеждащо, нанометровите измервания в чиповете не са нищо повече от маркетинг на този етап и са били такива от няколко години.

Intel 7 е последният процес от Intel, който използва дълбока ултравиолетова литография или DUV. Intel 7 беше използван за производството на Alder Lake, Raptor Lake и наскоро обявения Raptor Lake Refresh, който пристигна заедно с Meteor Lake. Meteor Lake обаче се произвежда на Intel 4.

Intel 4: Близкото бъдеще

Източник: Intel

Intel 4 е близкото бъдеще, освен ако не сте потребител на лаптоп, в който случай това е настоящето. Метеорното езеро е произведен на Intel 4... предимно. Изчислителната плочка на новите процесори на Meteor Lake е произведена на Intel 4, но графичната плочка е произведена на TSMC N3. Тези две плочки (заедно със SoC Tile и I/O Tile) са интегрирани с помощта на технологията за пакетиране Foveros 3D на Intel. Този процес обикновено се нарича дезагрегиране, а еквивалентът на AMD се нарича чиплет.

Основна промяна на Intel 4 обаче е, че това е първият производствен процес на Intel, който използва екстремна ултравиолетова литография. Това позволява по-висок добив и мащабиране на площта, за да се увеличи максимално енергийната ефективност. Както казва Intel, Intel 4 има двойно по-голямо мащабиране на областта за високопроизводителни логически библиотеки в сравнение с Intel 7. Това е 7nm процес на компанията, който отново е близък до възможностите на това, което други заводи за производство в индустрията наричат ​​свои собствени 5nm и 4nm процеси.

Intel 3: Удвояване на Intel 4

Intel 3 е продължение на Intel 4, но носи със себе си очакваните 18% печалба на ват в сравнение с Intel 4. Той има по-плътна библиотека с висока производителност, но досега е насочен само към използване на центрове за данни със Sierra Forest и Granite Rapids. В момента няма да видите това в нито един потребителски процесор. Не знаем много за този възел, но като се има предвид, че той е много по-фокусиран върху предприятието, нормалните потребители няма да се интересуват особено от него.

Intel 20A: Паритет на процеса

Източник: Intel

Intel знае, че донякъде изостава от останалата част от индустрията, когато става въпрос за производствени процеси, и през втората половина на 2024 г. се стреми да има Intel 20A наличен и в производство за своя Arrow Lake процесори. Това също ще дебютира PowerVia и RIbbonFET на компанията, където RibbonFET е просто друго име (дадено от Intel) на Gate All Around Field-Effect Transistor или GAAFET. TSMC преминава към GAAFET за своя 2nm N2 възел, докато Samsung преминава към него със своя 3nm 3GAE процесен възел.

Това, което е специално за PowerVia е, че позволява захранване от задната страна в целия чип, където сигналните проводници и захранващите проводници са отделени и оптимизирани отделно. С предното захранване, стандартът в индустрията сега, има много потенциал за затруднения, дължащи се на пространството, като същевременно потенциално отваряне към проблеми като цялост на захранването и сигнал намеса. PowerVia разделя сигналните и захранващите линии, което води до теоретично по-добро захранване.

Задното захранване не е нова концепция, но това е предизвикателство за прилагане от няколко години. Ако смятате, че транзисторите в PowerVia сега са в нещо като сандвич между захранването и сигнализирането (а транзисторите са най-трудната част от чип за производство, тъй като те носят най-голям потенциал за дефекти), тогава вие произвеждате твърдата част от чипа след вече сте отделили ресурси за другите части. Съчетайте това с транзисторите, където се генерира по-голямата част от топлината в процесора, където сега ще трябва да охлаждате процесора чрез слой или доставка на енергия, или доставка на сигнал, и ще разберете защо технологията се оказа трудна за получаване точно.

Твърди се, че този възел има 15% подобрение в производителността на ват спрямо Intel 3.

Intel 18A: Поглед към бъдещето

18A на Intel е най-модерният възел, за който може да се говори, и е настроен да започне да се произвежда през втората половина на 2024 г. Това ще се използва за производството на бъдещ потребителски Lake CPU и бъдещ CPU за център за данни, с увеличение до 10% производителност на ват. Няма много подробности, които са били споделени към този момент за него и той се удвоява на RibbonFET и PowerVia.

Единственото нещо, което се промени, откакто този възел беше разкрит за първи път, е, че първоначално трябваше да използва High-NA EUV литография, въпреки че това вече не е така. Част от причината за това е, че 18A възелът на Intel стартира малко по-рано, отколкото първоначално се очакваше, като компанията го оттегли за края на 2024 г. вместо за 2025 г. Тъй като ASML, холандската компания, която произвежда EUV литографски машини, все още доставя първия си High-NA скенер (Twinscan EXE: 5200) през 2025 г., това означаваше, че Intel ще трябва да го пропусне за 2024 г. За всичко EUV, компании имат да отидете на ASML между другото, така че няма алтернатива.

Пътната карта на Intel е амбициозна, но засега компанията се придържа към нея

Източник: Intel

Сега, когато разбирате пътната карта на Intel за следващите няколко години, би било правилно да се каже, че тя е абсолютно амбициозна. Самите Intel го рекламират като „пет възела за четири години“, тъй като знаят колко впечатляващо е това. Въпреки че може да очаквате, че може да има хълцане по пътя, единствената промяна, откакто Intel за първи път представи този план през 2021 г., беше въвеждането на Intel 18A напред за още по-бързо стартиране. Това е. Всичко друго си остана същото.

Дали Intel ще запази своите прогресивни добавки занапред, остава да видим, но предвещава добре, че единствената промяна, която компанията трябваше да направи, беше да направи своя най-напреднал възел да стартира дори по-рано от очакваното. Все още не е ясно дали Intel ще бъде страхотен конкурент на TSMC и Samsung що се отнася до неговите по-напреднали процеси (особено когато достигне RibbonFET), ние със сигурност сме обнадеждени.