Ο οδικός χάρτης διαδικασιών της Intel έως το 2025: Επεξήγηση Intel 7, 4, 3, 20A και 18A

click fraud protection

Η Intel έχει περιγράψει τις νέες διαδικασίες της για τα επόμενα χρόνια, αλλά τι σημαίνουν όλα αυτά;

Η Intel μόλις αποκάλυψε τους επεξεργαστές φορητών υπολογιστών Meteor Lake μαζί με ένα Raptor Lake Refresh και μαζί του ήρθε μια ανανεωμένη δέσμευση στον οδικό χάρτη κόμβου διεργασιών της εταιρείας που δημοσίευσε για πρώτη φορά το 2021. Σε αυτόν τον οδικό χάρτη, η εταιρεία δηλώνει ότι θέλει να καθαρίσει πέντε κόμβους σε τέσσερα χρόνια, κάτι που καμία άλλη εταιρεία δεν έχει επιτύχει εδώ και χρόνια. Ο οδικός χάρτης της Intel δηλώνει ότι στοχεύει να επιτύχει «ηγεσία διαδικασιών» το 2025. Η ηγεσία στη διαδικασία, σύμφωνα με τα πρότυπα της Intel, είναι η υψηλότερη απόδοση ανά watt. Πώς μοιάζει το ταξίδι σε αυτό;

Ο οδικός χάρτης της Intel μέχρι το 2025: Μια σύντομη επισκόπηση

Πηγή: Intel

Στον παραπάνω οδικό χάρτη, η Intel ολοκλήρωσε τη μετάβασή της σε Intel 7 και Intel 4, με τις Intel 3, 20A και 18A να έρχονται τα επόμενα χρόνια. Για αναφορά, η Intel 7 είναι αυτό που ονομάζει η εταιρεία τη διεργασία 10nm και η Intel 4 είναι αυτό που ονομάζει τη διαδικασία των 7nm. Από όπου προέρχονται τα ονόματα (αν και κάποιος θα μπορούσε να υποστηρίξει ότι είναι παραπλανητικά) είναι ότι η Intel 7 έχει πολύ παρόμοια πυκνότητα τρανζίστορ με τα 7nm της TSMC, παρά το γεγονός ότι η Intel 7 είναι κατασκευασμένη σε μια διαδικασία 10nm. Το ίδιο ισχύει και για την Intel 4, με το WikiChip να καταλήγει πραγματικά στο συμπέρασμα ότι

Η Intel 4 είναι πολύ πιθανό να είναι ελαφρώς πιο πυκνή από τη διαδικασία 5nm N5 της TSMC.

Με αυτά τα λόγια, εκεί που τα πράγματα γίνονται πολύ ενδιαφέροντα είναι με 20Α και 18Α. Το 20A (διαδικασία 2 nm της εταιρείας) λέγεται ότι είναι το σημείο όπου η Intel θα φτάσει στην «ισότητα διαδικασιών» και θα κάνει το ντεμπούτο της με το Arrow Lake και την πρώτη χρήση PowerVia και RibbonFET από την εταιρεία και στη συνέχεια τα 18A θα είναι 1,8nm χρησιμοποιώντας και το PowerVia και το RibbonFET, πολύ. Για μια πιο λεπτομερή ανάλυση, ρίξτε μια ματιά στο γράφημα που έφτιαξα παρακάτω.

Στην εποχή των επίπεδων MOSFET, οι μετρήσεις νανομέτρων είχαν πολύ μεγαλύτερη σημασία καθώς ήταν αντικειμενικές μετρήσεις, αλλά η μετάβαση στην τεχνολογία 3D FinFET έχει μετατρέψει τις μετρήσεις νανομέτρων σε απλό μάρκετινγκ όροι.

Intel 7: Πού βρισκόμαστε τώρα (κάπως)

Πηγή: Intel

Το Intel 7 είναι αυτό που παλαιότερα ήταν γνωστό ως Intel 10nm Enhanced SuperFin (10 ESF) και η εταιρεία αργότερα το μετονομάστηκε σε Intel 7 σε κάτι που ήταν ουσιαστικά μια προσπάθεια να ευθυγραμμιστεί εκ νέου με τις συμβάσεις ονομασίας της υπόλοιπης κατασκευής βιομηχανία. Αν και κάποιος θα μπορούσε να υποστηρίξει ότι είναι παραπλανητικό, οι μετρήσεις νανομέτρων σε τσιπ δεν είναι τίποτα άλλο από μάρκετινγκ σε αυτό το σημείο και έχουν γίνει εδώ και πολλά χρόνια.

Η Intel 7 είναι η τελευταία διαδικασία από την Intel για τη χρήση λιθογραφίας βαθιάς υπεριώδους ακτινοβολίας ή DUV. Η Intel 7 χρησιμοποιήθηκε για την παραγωγή του Alder Lake, του Raptor Lake και του πρόσφατα ανακοινωθέντος Raptor Lake Refresh που έφτασε δίπλα στη λίμνη Meteor. Το Meteor Lake, ωστόσο, παράγεται σε Intel 4.

Intel 4: Το εγγύς μέλλον

Πηγή: Intel

Η Intel 4 είναι το εγγύς μέλλον, εκτός εάν είστε χρήστης φορητού υπολογιστή, οπότε είναι το παρόν. Λίμνη Μετεώρου είναι κατασκευασμένο σε Intel 4... ως επί το πλείστον. Το Compute Tile των νέων CPU της Meteor Lake κατασκευάζεται σε Intel 4, αλλά το Tile γραφικών κατασκευάζεται στο TSMC N3. Αυτά τα δύο πλακίδια (μαζί με το SoC Tile και το I/O Tile) ενσωματώνονται χρησιμοποιώντας την τεχνολογία συσκευασίας Foveros 3D της Intel. Αυτή η διαδικασία είναι αυτή που συνήθως αναφέρεται ως διαχωρισμός και το αντίστοιχο της AMD ονομάζεται chiplet.

Ωστόσο, μια σημαντική αλλαγή της Intel 4 είναι ότι είναι η πρώτη από τις διαδικασίες κατασκευής της Intel που χρησιμοποιεί ακραία λιθογραφία υπεριώδους. Αυτό επιτρέπει υψηλότερη απόδοση και κλιμάκωση περιοχής για μεγιστοποίηση της απόδοσης ισχύος. Όπως το θέτει η Intel, η Intel 4 έχει διπλάσια κλίμακα για λογικές βιβλιοθήκες υψηλής απόδοσης σε σύγκριση με την Intel 7. Είναι η διαδικασία των 7 nm της εταιρείας, η οποία είναι και πάλι παρόμοια με τις δυνατότητες των άλλων εργοστασίων κατασκευής στη βιομηχανία ως δικές τους διεργασίες 5nm και 4nm.

Intel 3: Διπλασιασμός σε Intel 4

Η Intel 3 είναι συνέχεια της Intel 4, αλλά φέρνει μαζί της μια αναμενόμενη απόδοση 18% ανά watt κέρδος σε σχέση με την Intel 4. Έχει μια πιο πυκνή βιβλιοθήκη υψηλής απόδοσης, αλλά στοχεύει μόνο στη χρήση του κέντρου δεδομένων μέχρι στιγμής με το Sierra Forest και το Granite Rapids. Δεν θα το δείτε σε καμία CPU καταναλωτή αυτήν τη στιγμή. Δεν γνωρίζουμε πολλά για αυτόν τον κόμβο, αλλά δεδομένου ότι είναι πολύ πιο επικεντρωμένος στις επιχειρήσεις, οι κανονικοί καταναλωτές δεν θα χρειαστεί να τον ενδιαφέρουν ιδιαίτερα.

Intel 20A: Ισοτιμία διαδικασίας

Πηγή: Intel

Η Intel γνωρίζει ότι είναι κάπως πίσω από τον υπόλοιπο κλάδο όσον αφορά τις διαδικασίες κατασκευής και το δεύτερο εξάμηνο του 2024, στοχεύει να έχει διαθέσιμο και σε παραγωγή την Intel 20A για το Arrow Lake επεξεργαστές. Αυτό θα κάνει επίσης το ντεμπούτο των PowerVia και RIbbonFET της εταιρείας, όπου το RibbonFET είναι απλώς ένα άλλο όνομα (που δόθηκε από την Intel) σε ένα τρανζίστορ Gate All Around Field-Effect Transistor ή GAAFET. Η TSMC μετακινείται στο GAAFET για τον κόμβο N2 των 2 nm, ενώ η Samsung μετακινείται σε αυτό με τον κόμβο διεργασίας 3 nm 3GAE.

Το ιδιαίτερο με το PowerVia είναι ότι επιτρέπει την παροχή ισχύος στο πίσω μέρος του τσιπ, όπου τα καλώδια σήματος και τα καλώδια τροφοδοσίας αποσυνδέονται και βελτιστοποιούνται ξεχωριστά. Με την παροχή ισχύος στην μπροστινή πλευρά, το πρότυπο της βιομηχανίας τώρα, υπάρχουν πολλές δυνατότητες συμφόρηση λόγω χώρου, ενώ επίσης δυνητικά ανοίγει σε ζητήματα όπως η ακεραιότητα ισχύος και το σήμα παρέμβαση. Το PowerVia διαχωρίζει τις γραμμές σήματος και ρεύματος, με αποτέλεσμα θεωρητικά καλύτερη παροχή ρεύματος.

Η παροχή ισχύος στο πίσω μέρος δεν είναι μια νέα ιδέα, αλλά είναι μια ιδέα που αποτελεί πρόκληση να εφαρμοστεί εδώ και πολλά χρόνια. Αν σκεφτείτε ότι τα τρανζίστορ στο PowerVia βρίσκονται τώρα σε ένα είδος σάντουιτς μεταξύ ισχύος και σήματος (και τα τρανζίστορ είναι το πιο δύσκολο μέρος ενός τσιπ στην κατασκευή, καθώς έχουν τις περισσότερες πιθανότητες για ελαττώματα), τότε παράγετε το σκληρό μέρος του τσιπ μετά έχετε ήδη δεσμεύσει πόρους στα άλλα μέρη. Συνδυάστε το με τα τρανζίστορ όπου παράγεται το μεγαλύτερο μέρος της θερμότητας σε μια CPU, όπου θα πρέπει τώρα να ψύξετε μια CPU μέσω ενός στρώματος είτε παροχής ισχύος είτε παράδοσης σήματος, και θα δείτε γιατί η τεχνολογία έχει αποδειχθεί δύσκολη σωστά.

Αυτός ο κόμβος λέγεται ότι έχει 15% βελτίωση στην απόδοση ανά watt σε σχέση με την Intel 3.

Intel 18A: Κοιτάζοντας στο μέλλον

Το 18A της Intel είναι μακράν ο πιο προηγμένος κόμβος για τον οποίο πρέπει να μιλήσει και πρόκειται να ξεκινήσει την κατασκευή του στο δεύτερο μισό του 2024. Αυτό θα χρησιμοποιηθεί για την παραγωγή ενός μελλοντικού καταναλωτή Lake CPU και ενός μελλοντικού κέντρου δεδομένων CPU, με αύξηση απόδοσης έως και 10% ανά watt. Δεν υπάρχουν πολλές λεπτομέρειες που έχουν κοινοποιηθεί αυτήν τη στιγμή σχετικά με αυτό, και διπλασιάζεται σε RibbonFET και PowerVia.

Το μόνο πράγμα που έχει αλλάξει από τότε που παρουσιάστηκε για πρώτη φορά αυτός ο κόμβος είναι ότι αρχικά υποτίθεται ότι χρησιμοποιούσε λιθογραφία High-NA EUV, αν και αυτό δεν ισχύει πλέον. Μέρος του λόγου για αυτό είναι ότι ο κόμβος 18A της Intel κυκλοφορεί λίγο νωρίτερα από ό, τι αναμενόταν αρχικά, με την εταιρεία να τον αποσύρει στα τέλη του 2024 αντί για το 2025. Με την ASML, την ολλανδική εταιρεία που παράγει μηχανές λιθογραφίας EUV, η οποία εξακολουθεί να αποστέλλει τον πρώτο της σαρωτή High-NA (το Twinscan EXE: 5200) το 2025, που σήμαινε ότι η Intel θα έπρεπε να τον παραλείψει για το 2024. Για οτιδήποτε EUV, εταιρείες έχω να πας στο ASML παρεμπιπτόντως, οπότε δεν υπάρχει εναλλακτική.

Ο οδικός χάρτης της Intel είναι φιλόδοξος, αλλά μέχρι στιγμής, η εταιρεία εμμένει σε αυτόν

Πηγή: Intel

Τώρα που καταλαβαίνετε τον οδικό χάρτη της Intel για τα επόμενα χρόνια, θα είχε δίκιο κάποιος να πει ότι είναι απολύτως φιλόδοξος. Η ίδια η Intel το διαφημίζει ως "πέντε κόμβοι σε τέσσερα χρόνια", καθώς γνωρίζουν πόσο εντυπωσιακό είναι αυτό. Αν και μπορείτε να περιμένετε ότι μπορεί να υπάρξουν λόξυγγας στην πορεία, η μόνη αλλαγή από τότε που η Intel παρουσίασε για πρώτη φορά αυτό το σχέδιο το 2021 ήταν να φέρει την Intel 18A προς τα εμπρός για μια ακόμη συντομότερη εκτόξευση. Αυτό είναι. Όλα τα άλλα έχουν μείνει ίδια.

Το αν η Intel θα διατηρήσει τις προοδευτικές της προσθήκες στο μέλλον μένει να φανεί, αλλά προοιωνίζεται καλά ότι Η μόνη αλλαγή που χρειάστηκε να κάνει η εταιρεία ήταν να πραγματοποιήσει την εκκίνηση του πιο προηγμένου κόμβου της ακόμη νωρίτερα από το αναμενόμενο. Αν και δεν είναι ξεκάθαρο εάν η Intel θα είναι ένας τρομερός ανταγωνιστής της TSMC και της Samsung ακόμα όταν το κάνει όσον αφορά τις πιο προηγμένες διαδικασίες του (ειδικά όταν φτάσει στο RibbonFET), είμαστε σίγουρα αισιόδοξοι.