Hoja de ruta de procesos de Intel hasta 2025: Intel 7, 4, 3, 20A y 18A explicados

click fraud protection

Intel ha delineado sus nuevos procesos para los próximos años, pero ¿qué significa todo esto?

Intel acaba de presentar sus procesadores para portátiles Meteor Lake junto con Raptor Lake Refresh, y con ello llegó un compromiso renovado con la hoja de ruta del nodo de proceso de la compañía que publicó por primera vez en 2021. En esa hoja de ruta, la empresa afirma que quiere limpiar cinco nodos en cuatro años, algo que ninguna otra empresa ha logrado en años. La propia hoja de ruta de Intel establece que su objetivo es alcanzar el "liderazgo de procesos" en 2025. El liderazgo en procesos, según los estándares de Intel, es el mayor rendimiento por vatio. ¿Cómo es el viaje hacia eso?

La hoja de ruta de Intel hasta 2025: una breve descripción

Fuente: Intel

En la hoja de ruta anterior, Intel ha completado su transición a Intel 7 e Intel 4, con Intel 3, 20A y 18A en los próximos años. Como referencia, Intel 7 es como la compañía llama a su proceso de 10 nm, e Intel 4 es como llama a su proceso de 7 nm. De donde provienen los nombres (aunque se podría argumentar que son engañosos) es que Intel 7 tiene una densidad de transistores muy similar a los 7 nm de TSMC, a pesar de que Intel 7 está construido en un proceso de 10 nm. Lo mismo ocurre con Intel 4, y WikiChip llegó a la conclusión de que

Es muy probable que Intel 4 sea un poco más denso que el proceso N5 de 5 nm de TSMC.

Dicho esto, donde las cosas se ponen muy interesantes es con 20A y 18A. Se dice que 20A (el proceso de 2 nm de la compañía) es donde Intel alcanzará la "paridad de proceso" y debutará con Arrow Lake. y el primer uso de PowerVia y RibbonFET por parte de la compañía, y luego 18A será de 1,8 nm usando PowerVia y RibbonFET, también. Para obtener un desglose más detallado, consulte el cuadro que hice a continuación.

En la época de los MOSFET planos, las mediciones nanométricas importaban mucho más porque eran objetivas. mediciones, pero el cambio a la tecnología 3D FinFET ha convertido las mediciones nanométricas en mero marketing términos.

Intel 7: dónde estamos ahora (más o menos)

Fuente: Intel

Intel 7 es lo que antes se conocía como Intel 10nm Enhanced SuperFin (10 ESF), y luego la compañía lo rebautizó como Intel. 7 en lo que fue esencialmente un esfuerzo por realinearse con las convenciones de nomenclatura del resto de la fabricación. industria. Si bien se podría argumentar que es engañoso, las mediciones nanométricas en chips no son más que marketing en este momento y lo han sido durante varios años.

Intel 7 es el último proceso de Intel que utiliza litografía ultravioleta profunda o DUV. Intel 7 se utilizó para producir Alder Lake, Raptor Lake y el recientemente anunciado Raptor Lake Refresh que llegó junto con Meteor Lake. Meteor Lake, sin embargo, se produce en Intel 4.

Intel 4: el futuro cercano

Fuente: Intel

Intel 4 es el futuro cercano a menos que seas usuario de una computadora portátil, en cuyo caso es el presente. Lago Meteoro está fabricado en Intel 4... principalmente. El Tile de cómputo de las nuevas CPU de Meteor Lake está fabricado en Intel 4, pero el Tile de gráficos está fabricado en TSMC N3. Estos dos mosaicos (junto con el mosaico SoC y el mosaico de E/S) se integran mediante la tecnología de empaquetado 3D Foveros de Intel. Este proceso se suele denominar desagregación y el equivalente de AMD se denomina chiplet.

Sin embargo, un cambio importante de Intel 4 es que es el primero de los procesos de fabricación de Intel que utiliza litografía ultravioleta extrema. Esto permite un mayor rendimiento y escalamiento de área para maximizar la eficiencia energética. Como dice Intel, Intel 4 tiene el doble de escala de área para bibliotecas lógicas de alto rendimiento en comparación con Intel 7. Es el proceso de 7 nm de la compañía, que nuevamente es similar a las capacidades de lo que otras plantas de fabricación en la industria denominan sus propios procesos de 5 nm y 4 nm.

Intel 3: duplicando la apuesta por Intel 4

Intel 3 es una continuación de Intel 4, pero trae consigo una ganancia esperada de rendimiento por vatio del 18% sobre Intel 4. Tiene una biblioteca de alto rendimiento más densa, pero hasta ahora solo está dirigida al uso del centro de datos con Sierra Forest y Granite Rapids. No verás este en ninguna CPU de consumo en este momento. No sabemos mucho sobre este nodo, pero dado que está mucho más centrado en la empresa, los consumidores normales no tendrán que preocuparse demasiado por él.

Intel 20A: paridad de procesos

Fuente: Intel

Intel sabe que está algo por detrás del resto de la industria en lo que respecta a procesos de fabricación, y en la segunda mitad de 2024 pretende tener Intel 20A disponible y en producción para su Arrow Lake procesadores. Esto también presentará PowerVia y RIbbonFET de la compañía, donde RibbonFET es simplemente otro nombre (dado por Intel) a un transistor de efecto de campo Gate All Around, o GAAFET. TSMC se está mudando a GAAFET para su nodo N2 de 2 nm, mientras que Samsung se está mudando a él con su nodo de proceso 3GAE de 3 nm.

Lo que tiene de especial PowerVia es que permite la entrega de energía desde la parte trasera a través de un chip, donde los cables de señal y los cables de alimentación se desacoplan y optimizan por separado. Con la entrega de energía frontal, el estándar actual de la industria, existe un gran potencial para cuellos de botella debido al espacio y al mismo tiempo se abren potencialmente a problemas como la integridad de la energía y la señal. interferencia. PowerVia separa las líneas de señal y de alimentación, lo que da como resultado una entrega de energía teóricamente mejor.

La entrega de energía trasera no es un concepto nuevo, pero su implementación ha planteado un desafío durante varios años. Si consideramos que los transistores en PowerVia están ahora en una especie de sándwich entre potencia y señalización (y los transistores son el parte más difícil de fabricar de un chip, ya que tienen el mayor potencial de defectos), entonces estás produciendo la parte dura del chip después ya ha comprometido recursos para las otras partes. Si a esto le sumamos que los transistores son el lugar donde se genera la mayor parte del calor en una CPU, donde ahora necesitará enfriar una CPU. a través de una capa de entrega de energía o entrega de señal, y verá por qué la tecnología ha resultado difícil de conseguir. bien.

Se dice que este nodo tiene una mejora del 15% en el rendimiento por vatio con respecto a Intel 3.

Intel 18A: mirando hacia el futuro

El 18A de Intel es, con diferencia, el nodo más avanzado del que hay que hablar y comenzará a fabricarse en la segunda mitad de 2024. Esto se utilizará para producir una futura CPU Lake de consumo y una futura CPU de centro de datos, con un aumento de hasta un 10% en el rendimiento por vatio. No se han compartido muchos detalles al respecto en este momento, y se duplica en RibbonFET y PowerVia.

Lo único que ha cambiado desde que se dio a conocer este nodo por primera vez es que inicialmente se suponía que utilizaría litografía EUV de alta NA, aunque ese ya no es el caso. Parte de la razón de esto es que el nodo 18A de Intel se lanzará un poco antes de lo previsto inicialmente, y la compañía lo retrasó hasta finales de 2024 en lugar de 2025. Dado que ASML, la empresa holandesa que produce máquinas de litografía EUV, aún envía su primer escáner High-NA (el Twinscan EXE: 5200) en 2025, eso significaba que Intel tendría que omitirlo para 2024. Para cualquier cosa EUV, empresas tener Por cierto, ir a ASML, por lo que no hay alternativa.

La hoja de ruta de Intel es ambiciosa, pero hasta ahora la empresa la mantiene

Fuente: Intel

Ahora que comprende la hoja de ruta de Intel para los próximos años, sería correcto decir que es absolutamente ambiciosa. Los propios Intel lo anuncian como "cinco nodos en cuatro años", porque saben lo impresionante que es. Si bien es de esperar que haya contratiempos en el camino, el único cambio desde que Intel presentó este plan por primera vez en 2021 fue traer Intel 18A. adelante a un lanzamiento aún más rápido. Eso es todo. Todo lo demás ha seguido igual.

Queda por ver si Intel mantendrá sus adiciones progresivas en el futuro, pero es un buen augurio que el El único cambio que la compañía tuvo que hacer fue realizar el lanzamiento de su nodo más avanzado incluso antes de lo previsto. Si bien no está claro si Intel será un competidor formidable para TSMC y Samsung cuando En lo que respecta a sus procesos más avanzados (especialmente cuando llega a RibbonFET), ciertamente tenemos esperanzas.