2025 年までの Intel のプロセス ロードマップ: Intel 7、4、3、20A、18A について説明

click fraud protection

インテルは今後数年間の新しいプロセスの概要を発表しましたが、これは何を意味するのでしょうか?

Intelは、Raptor Lake RefreshとともにMeteor Lakeラップトッププロセッサを発表したばかりで、これに伴い、2021年に初めて公開された同社のプロセスノードロードマップへの新たな取り組みも行われた。 そのロードマップの中で、同社は 4 年間で 5 つのノードをクリアしたいと述べていますが、これは他のどの企業もここ数年達成できなかった目標です。 Intel自身のロードマップでは、2025年に「プロセス・リーダーシップ」の実現を目指すとしている。 インテルの基準によると、プロセスのリーダーシップとは、ワットあたりの最高のパフォーマンスを指します。 そこに至るまでの旅はどのようなものですか?

2025 年までのインテルのロードマップ: 概要

出典: インテル

上記のロードマップでは、インテルはインテル 7 およびインテル 4 への移行を完了しており、今後数年以内にインテル 3、20A、および 18A が登場します。 参考までに、Intel 7 は同社の 10nm プロセスの名前であり、Intel 4 は 7nm プロセスの名前です。 名前の由来は (誤解を招くと主張する人もいますが)、Intel 7 が 10nm プロセスで構築されているにもかかわらず、Intel 7 のトランジスタ密度が TSMC の 7nm と非常に似ているということです。 同じことが Intel 4 にも当てはまり、WikiChip は実際に次のような結論に達しています。 Intel 4はTSMCの5nm N5プロセスよりわずかに高密度である可能性が非常に高い.

そうは言っても、非常に興味深いのは 20A と 18A です。 20A (同社の 2nm プロセス) は、Intel が「プロセスパリティ」に到達し、Arrow Lake でデビューすると言われています 同社初のPowerViaとRibbonFETの使用により、PowerViaとRibbonFETの両方を使用すると18Aが1.8nmになります。 あまりにも。 より詳細な内訳については、私が作成した以下の表を確認してください。

プレーナ MOSFET の時代には、ナノメートル測定は客観的であるため、非常に重要でした。 しかし、3D FinFET テクノロジーへの切り替えにより、ナノメートル測定は単なるマーケティングに変わってしまった 条項。

Intel 7: 現在の状況 (のようなもの)

出典: インテル

Intel 7 は、以前は Intel 10nm Enhanced SuperFin (10 ESF) として知られていたもので、同社は後に Intel にブランド名を変更しました。 7 は本質的に、製造の残りの部分の命名規則に合わせて再調整する取り組みでした。 業界。 誤解を招くと主張する人もいるかもしれないが、チップ内のナノメートル測定は現時点ではマーケティングにすぎず、何年も前から行われている。

Intel 7 は、Intel が深紫外リソグラフィー (DUV) を使用する最後のプロセスです。 Intel 7 は、Alder Lake、Raptor Lake、そして最近発表され Meteor Lake とともに登場した Raptor Lake Refresh の生成に使用されました。 ただし、Meteor Lake は Intel 4 で制作されています。

インテル 4: 近未来

出典: インテル

あなたがラップトップユーザーでない限り、Intel 4 は近未来です。ラップトップユーザーの場合、それは現在です。 流星の湖 Intel 4で製造されています... たいてい。 Meteor Lake の新しい CPU のコンピューティング タイルは Intel 4 で製造されていますが、グラフィックス タイルは TSMC N3 で製造されています。 これら 2 つのタイル (SoC タイルおよび I/O タイルとともに) は、Intel の Foveros 3D パッケージング技術を使用して統合されています。 このプロセスは通常、分離と呼ばれるもので、AMD の同等のプロセスはチップレットと呼ばれます。

ただし、Intel 4 の大きな変更点は、Intel の製造プロセスで初めて極端紫外線リソグラフィーを利用したことです。 これにより、より高い収量と面積の拡張が可能になり、電力効率を最大化できます。 Intel が述べているように、Intel 4 は、Intel 7 と比較して、高性能ロジック ライブラリの面積スケーリングが 2 倍になっています。 これは同社の 7nm プロセスであり、これもまた、業界の他の製造工場が独自の 5nm および 4nm プロセスと呼んでいるものの機能に似ています。

Intel 3: Intel 4 を倍増

Intel 3 は Intel 4 の後継製品ですが、Intel 4 と比較してワットあたりのパフォーマンスが 18% 向上すると予想されます。 より高密度の高性能ライブラリを備えていますが、これまでのところ、Sierra Forest と Granite Rapids でのデータセンターでの使用のみを目的としています。 現時点では、コンシューマー向け CPU にはこれが搭載されていません。 このノードについてはあまり詳しくありませんが、より企業向けであることを考えると、一般の消費者はそれほど気にする必要はありません。

Intel 20A: プロセスパリティ

出典: インテル

インテルは、製造プロセスに関しては、業界の他の企業よりも多少遅れていることを認識しています。 2024 年後半には、Intel 20A を Arrow Lake で利用可能にして実稼働させることを目指しています。 プロセッサー。 これにより、同社の PowerVia と RIbbonFET もデビューします。ここで、RibbonFET は、ゲート オール アラウンド電界効果トランジスタ (GAAFET) の単なる別名 (Intel によって与えられた) です。 TSMC は 2nm N2 ノードで GAAFET に移行していますが、Samsung は 3nm 3GAE プロセス ノードで GAAFET に移行しています。

PowerVia の特別な点は、信号ワイヤと電源ワイヤが分離され、個別に最適化される、チップ全体への裏面電力供給が可能になることです。 現在業界の標準となっているフロントサイド電力供給には、多くの可能性があります。 スペースによるボトルネックになると同時に、電力の完全性や信号などの問題が発生する可能性もあります 干渉。 PowerVia は信号線と電力線を分離し、理論的には電力供給が向上します。

背面電力供給は新しい概念ではありませんが、長年にわたり実装に課題をもたらしてきた概念です。 PowerVia のトランジスタが電源と信号の間にある種のサンドイッチ状になっていると考えると (トランジスタは 欠陥が発生する可能性が最も高いため、チップの製造が最も困難な部分)の場合、チップの硬い部分を製造することになります。 すでに他の部分にリソースを割り当てています。 これを、CPU の熱のほとんどが発生する場所であるトランジスタと組み合わせると、CPU を冷却する必要が生じます。 電力供給または信号供給のいずれかの層を介して実行すると、テクノロジーの入手が困難であることが判明した理由がわかります 右。

このノードは、Intel 3 と比較してワットあたりのパフォーマンスが 15% 向上していると言われています。

インテル 18A: 未来を見据えて

Intel の 18A は、Intel が語る中で最も先進的なノードであり、2024 年後半に製造が開始される予定です。 これは、将来の消費者向け Lake CPU と将来のデータセンター CPU の生産に使用され、ワットあたりのパフォーマンスが最大 10% 向上します。 現時点で共有されている詳細はあまり多くありませんが、RibbonFET と PowerVia についても詳しく説明されています。

このノードが最初に発表されてから変わった唯一のことは、当初は高 NA EUV リソグラフィーを使用することになっていたことですが、現在はそうではありません。 この理由の 1 つは、Intel の 18A ノードの発売が当初の予想よりわずかに早く、同社がそれを 2025 年ではなく 2024 年後半に延期していることです。 EUVリソグラフィー装置を製造するオランダのASML社はまだ最初の高NAスキャナー(Twinscan EXE: 5200)を2025年に出荷する予定であるため、インテルは2024年にそれをスキップしなければならないことを意味する。 EUVのことなら何でも企業 持っている ちなみに ASML に行くしかないので、他に選択肢はありません。

インテルのロードマップは野心的だが、これまでのところ同社はそれに固執している

出典: インテル

Intel の今後数年間のロードマップを理解できたので、それはまったく野心的なものであると言うのは正しいでしょう。 Intel 自身も、それがどれほど素晴らしいかを知っているため、これを「4 年間で 5 つのノード」と宣伝しています。 途中で問題が発生する可能性があることは予想されるかもしれませんが、インテルが 2021 年にこの計画を最初に発表して以来の唯一の変更は、インテル 18A を導入することでした。 フォワード さらに早い発売に向けて。 それでおしまい。 それ以外はすべて同じままです。

Intel が今後も進歩的な機能追加を維持するかどうかはまだわかりませんが、これは良い兆候です。 同社がしなければならなかった唯一の変更は、最先端のノードを予想よりも早く立ち上げることだけだった。 Intel が TSMC や Samsung にとって強力な競争相手になるかどうかは不明ですが、 より高度なプロセスになると (特に、RibbonFET に到達する場合)、私たちは確かに期待しています。