Дорожная карта Intel до 2025 года: объяснение Intel 7, 4, 3, 20A и 18A

click fraud protection

Intel наметила свои новые процессы на ближайшие несколько лет, но что все это значит?

Intel только что представила свои процессоры для ноутбуков Meteor Lake вместе с Raptor Lake Refresh, и вместе с этим была подтверждена приверженность дорожной карте технологических узлов компании, которую она впервые опубликовала в 2021 году. В этой дорожной карте компания заявляет, что хочет очистить пять узлов за четыре года, чего ни одна другая компания не добивалась за последние годы. В собственной дорожной карте Intel говорится, что она стремится достичь «лидерства в процессах» к 2025 году. Лидерство в процессе, по стандартам Intel, — это наивысшая производительность на ватт. Как выглядит путь к этому?

Дорожная карта Intel до 2025 года: краткий обзор

Источник: Интел

В приведенной выше дорожной карте Intel завершила переход на Intel 7 и Intel 4, а в ближайшие несколько лет появятся Intel 3, 20A и 18A. Для справки: Intel 7 — это то, что компания называет своим 10-нм техпроцессом, а Intel 4 — это то, что она называет своим 7-нм техпроцессом. Откуда взялись эти названия (хотя можно утверждать, что они вводят в заблуждение), так это то, что Intel 7 имеет плотность транзисторов, очень похожую на 7-нм техпроцесс TSMC, несмотря на то, что Intel 7 построен по 10-нм техпроцессу. То же самое касается Intel 4: WikiChip фактически пришел к выводу, что

Intel 4, скорее всего, будет немного плотнее, чем 5-нм техпроцесс TSMC N5..

С учетом вышесказанного, ситуация становится очень интересной с 20А и 18А. Говорят, что 20A (2-нм техпроцесс компании) Intel достигнет «паритета процессов» и дебютирует с Arrow Lake. и первое использование компанией PowerVia и RibbonFET, а затем 18A будет 1,8 нм с использованием как PowerVia, так и RibbonFET, слишком. Для более подробной разбивки посмотрите диаграмму, которую я сделал ниже.

Во времена планарных МОП-транзисторов нанометровые измерения имели гораздо большее значение, поскольку они были объективными. измерения, но переход на технологию 3D FinFET превратил нанометровые измерения в простой маркетинг. условия.

Intel 7: Где мы сейчас находимся (вроде как)

Источник: Интел

Intel 7 — это то, что раньше называлось Intel 10nm Enhanced SuperFin (10 ESF), а позже компания переименовала его в Intel. 7, что, по сути, было попыткой привести себя в соответствие с соглашениями об именах остальной части производства. промышленность. Хотя можно утверждать, что это вводит в заблуждение, нанометровые измерения в чипах на данный момент являются не более чем маркетингом, и так было уже несколько лет.

Intel 7 — последний процесс Intel, в котором используется литография в глубоком ультрафиолете (DUV). Intel 7 использовался для производства Alder Lake, Raptor Lake и недавно анонсированного Raptor Lake Refresh, который появился вместе с Meteor Lake. Однако Meteor Lake производится на базе Intel 4.

Intel 4: ближайшее будущее

Источник: Интел

Intel 4 — это ближайшее будущее, если только вы не являетесь пользователем ноутбука, в этом случае это настоящее. Метеоритное озеро изготовлен на базе Intel 4... по большей части. Вычислительная плитка новых процессоров Meteor Lake изготовлена ​​на базе Intel 4, а графическая плитка — на TSMC N3. Эти две плитки (вместе с плиткой SoC и плиткой ввода-вывода) интегрированы с использованием технологии упаковки Intel Foveros 3D. Этот процесс обычно называется дезагрегацией, а его эквивалент AMD называется чиплетом.

Однако основным изменением Intel 4 является то, что это первый производственный процесс Intel, в котором используется литография в сильном ультрафиолете. Это позволяет повысить урожайность и масштабировать площадь для максимизации энергоэффективности. По словам Intel, Intel 4 имеет вдвое большую площадь для высокопроизводительных логических библиотек по сравнению с Intel 7. Это 7-нм техпроцесс компании, который снова сродни возможностям того, что другие производственные предприятия в отрасли называют своими собственными 5-нм и 4-нм процессами.

Intel 3: удвоение Intel 4

Intel 3 является продолжением Intel 4, но обеспечивает ожидаемый прирост производительности на 18% на ватт по сравнению с Intel 4. Он имеет более плотную высокопроизводительную библиотеку, но пока предназначен только для использования в центрах обработки данных с Sierra Forest и Granite Rapids. На данный момент вы не увидите его ни в одном потребительском процессоре. Мы мало что знаем об этом узле, но, учитывая, что он в большей степени ориентирован на предприятия, обычным потребителям не придется о нем особо заботиться.

Intel 20A: четность процессов

Источник: Интел

Intel знает, что она несколько отстает от остальной отрасли, когда дело касается производственных процессов, и во второй половине 2024 года компания планирует выпустить Intel 20A в производство для своего Arrow Lake. процессоры. Это также дебютирует с PowerVia и RIbbonFET компании, где RibbonFET — это просто другое название (данное Intel) полевому транзистору с затвором или GAAFET. TSMC переходит на GAAFET для своего 2-нм узла N2, тогда как Samsung переходит на него со своим 3-нм узлом 3GAE.

Особенность PowerVia заключается в том, что она обеспечивает подачу питания на внутреннюю часть чипа, при этом сигнальные и силовые провода развязываются и оптимизируются отдельно. Благодаря фронтальной подаче электроэнергии, которая сейчас является стандартом отрасли, существует большой потенциал для узкие места из-за нехватки места, но при этом потенциально могут возникнуть такие проблемы, как целостность электропитания и сигнал. вмешательство. PowerVia разделяет сигнальные и силовые линии, что теоретически приводит к лучшей подаче электроэнергии.

Подача обратной энергии не является новой концепцией, но ее реализация в течение ряда лет представляла собой проблему. Если учесть, что транзисторы в PowerVia сейчас находятся в своего рода сэндвиче между питанием и передачей сигналов (а транзисторы — это самую сложную часть чипа в производстве, поскольку они несут наибольшую вероятность появления дефектов), тогда вы производите самую трудную часть чипа после вы уже выделили ресурсы для других частей. Добавьте к этому транзисторы, где генерируется большая часть тепла в процессоре, и теперь вам нужно будет охлаждать процессор. через уровень либо подачи питания, либо доставки сигнала, и вы поймете, почему технологию оказалось трудно получить верно.

Сообщается, что этот узел имеет улучшение производительности на ватт на 15% по сравнению с Intel 3.

Intel 18A: взгляд в будущее

Intel 18A на сегодняшний день является самым совершенным узлом, о котором можно говорить, и его производство должно начаться во второй половине 2024 года. Это будет использоваться для производства будущих потребительских процессоров Lake и будущих процессоров для центров обработки данных с увеличением производительности до 10% на ватт. На данный момент об этом не так много подробностей, и это касается RibbonFET и PowerVia.

Единственное, что изменилось с тех пор, как этот узел был впервые представлен, это то, что изначально предполагалось использовать EUV-литографию High-NA, но это уже не так. Частично это связано с тем, что узел Intel 18A запускается немного раньше, чем первоначально предполагалось, и компания откладывает его на конец 2024 года вместо 2025 года. Поскольку ASML, голландская компания, производящая машины для литографии EUV, все еще поставляет свой первый сканер с высокой числовой апертурой (Twinscan EXE: 5200) в 2025 году, это означает, что Intel придется отказаться от него в 2024 году. Для чего угодно EUV, компании иметь кстати перейти на ASML, так что альтернативы нет.

Дорожная карта Intel амбициозна, но пока компания ее придерживается

Источник: Интел

Теперь, когда вы понимаете дорожную карту Intel на ближайшие несколько лет, можно было бы правильно сказать, что она абсолютно амбициозна. Сами Intel рекламируют это как «пять узлов за четыре года», поскольку они знают, насколько это впечатляет. Хотя вы можете ожидать, что на этом пути могут возникнуть сбои, единственным изменением с тех пор, как Intel впервые представила этот план в 2021 году, было появление Intel 18A. вперед к еще более скорому запуску. Вот и все. Все остальное осталось прежним.

Сохранит ли Intel свои прогрессивные разработки в будущем, еще неизвестно, но это является хорошим предзнаменованием того, что Единственное изменение, которое пришлось внести компании, — это запустить свой самый продвинутый узел даже раньше, чем ожидалось. Пока неясно, станет ли Intel серьезным конкурентом TSMC и Samsung, когда она появится. Что касается более продвинутых процессов (особенно когда дело доходит до RibbonFET), мы, безусловно, надеемся.