Intels processplan till 2025: Intel 7, 4, 3, 20A och 18A förklaras

Intel har skisserat sina nya processer för de närmaste åren, men vad betyder allt?

Intel har precis presenterat sina bärbara Meteor Lake-processorer tillsammans med en Raptor Lake Refresh, och med det kom ett förnyat engagemang för företagets processnod-färdplan som det först publicerade 2021. I den färdplanen uppger företaget att man vill rensa fem noder på fyra år, något som inget annat företag har uppnått på flera år. Intels egen färdplan säger att man siktar på att uppnå "processledarskap" 2025. Processledarskap, enligt Intels standarder, är den högsta prestandan per watt. Hur ser resan dit ut?

Intels färdplan fram till 2025: En kort översikt

Källa: Intel

I färdplanen ovan har Intel slutfört sin övergång till Intel 7 och Intel 4, med Intel 3, 20A och 18A som kommer inom de närmaste åren. Som referens är Intel 7 vad företaget kallar sin 10nm-process, och Intel 4 är vad det kallar sin 7nm-process. Där namnen kommer ifrån (även om man skulle kunna hävda att de är missvisande) är att Intel 7 har en mycket liknande transistortäthet som TSMC: s 7nm, trots att Intel 7 bygger på en 10nm-process. Detsamma gäller Intel 4, där WikiChip faktiskt kommer till slutsatsen att

Intel 4 är mycket sannolikt något tätare än TSMC: s 5nm N5-process.

Med det sagt, där saker och ting blir väldigt intressanta är med 20A och 18A. 20A (företagets 2nm-process) sägs vara där Intel kommer att nå "processparitet" och kommer att debutera med Arrow Lake och företagets första användning av PowerVia och RibbonFET, och sedan 18A kommer att vara 1,8nm med både PowerVia och RibbonFET, för. För en mer detaljerad uppdelning, kolla in diagrammet som jag gjorde nedan.

Tillbaka på dagen för plana MOSFETs, nanometermätningar betydde mycket mer eftersom de var objektiva mätningar, men övergången till 3D FinFET-teknik har gjort nanometermätningar till enbart marknadsföring villkor.

Intel 7: Där vi är nu (typ)

Källa: Intel

Intel 7 är vad som tidigare var känt som Intel 10nm Enhanced SuperFin (10 ESF), och företaget döpte det senare om till Intel 7 i vad som i huvudsak var ett försök att anpassa sig till namnkonventionerna för resten av tillverkningen industri. Även om man kan hävda att det är missvisande, är nanometermätningar i chips inget annat än marknadsföring vid denna tidpunkt och har varit det i ett antal år.

Intel 7 är den sista processen från Intel att använda djup ultraviolett litografi, eller DUV. Intel 7 användes för att producera Alder Lake, Raptor Lake och den nyligen tillkännagivna Raptor Lake Refresh som anlände vid sidan av Meteor Lake. Meteor Lake produceras dock på Intel 4.

Intel 4: Den närmaste framtiden

Källa: Intel

Intel 4 är en nära framtid om du inte är en bärbar datoranvändare, i så fall är det nuet. Meteor Lake är tillverkad på Intel 4... för det mesta. Compute Tile of Meteor Lakes nya processorer är tillverkade på Intel 4, men grafikplattan är tillverkade på TSMC N3. Dessa två brickor (tillsammans med SoC Tile och I/O Tile) är integrerade med Intels Foveros 3D-paketeringsteknik. Denna process är en som vanligtvis kallas disaggregation och AMD-motsvarigheten kallas en chiplet.

En stor förändring av Intel 4 är dock att det är den första av Intels tillverkningsprocesser som använder extrem ultraviolett litografi. Detta möjliggör högre avkastning och ytskalning för att maximera energieffektiviteten. Som Intel uttrycker det har Intel 4 dubbelt så stor areaskalning för högpresterande logikbibliotek jämfört med Intel 7. Det är företagets 7nm-process, som återigen liknar kapaciteten hos vad andra tillverkningsanläggningar i branschen kallar sina egna 5nm- och 4nm-processer.

Intel 3: Fördubbling på Intel 4

Intel 3 är en uppföljare från Intel 4 men för med sig en förväntad prestanda på 18 % per wattvinst jämfört med Intel 4. Det har ett tätare högpresterande bibliotek men är bara inriktat på datacenteranvändning än så länge med Sierra Forest och Granite Rapids. Du kommer inte att se den här i några konsumentprocessorer för tillfället. Vi vet inte mycket om den här noden, men med tanke på att den är mycket mer företagsfokuserad kommer normala konsumenter inte att behöva bry sig särskilt mycket om den.

Intel 20A: Processparitet

Källa: Intel

Intel vet att det ligger något efter resten av branschen när det kommer till tillverkningsprocesser, och under andra halvan av 2024 siktar man på att ha Intel 20A tillgänglig och i produktion för sin Arrow Lake processorer. Detta kommer också att debutera företagets PowerVia och RIbbonFET, där RibbonFET helt enkelt är ett annat namn (givet av Intel) till en Gate All Around Field-Effect Transistor, eller GAAFET. TSMC flyttar till GAAFET för sin 2nm N2-nod, medan Samsung flyttar till den med sin 3nm 3GAE-processnod.

Det som är speciellt med PowerVia är att det möjliggör strömförsörjning på baksidan genom ett chip, där signalledningar och strömledningar kopplas bort och optimeras separat. Med frontside power leverans, standarden i branschen nu, finns det mycket potential för flaskhalsar på grund av utrymme samtidigt som det potentiellt öppnar upp för problem som strömintegritet och signal interferens. PowerVia separerar signal- och kraftledningar, vilket resulterar i en teoretiskt bättre effektleverans.

Kraftleverans på baksidan är inte ett nytt koncept, men det är ett koncept som har utgjort en utmaning att implementera under ett antal år. Om du tänker på att transistorerna i PowerVia nu är i en slags sandwich mellan effekt och signalering (och transistorer är svåraste delen av ett chip att tillverka, eftersom de har störst potential för defekter), då producerar du den hårda delen av chipet efter du har redan avsatt resurser till de andra delarna. Kombinera det med transistorer där det mesta av värmen i en CPU genereras, där du nu behöver kyla en CPU genom ett lager av antingen kraftleverans eller signalleverans, och du kommer att se varför tekniken har visat sig svår att få tag på höger.

Denna nod sägs ha en 15% förbättring i prestanda per watt jämfört med Intel 3.

Intel 18A: En blick mot framtiden

Intels 18A är den överlägset mest avancerade noden som den har att prata om, och den är inställd på att börja tillverkas under senare hälften av 2024. Detta kommer att användas för att producera en framtida Lake CPU och en framtida datacenter CPU, med en ökning på upp till 10 % prestanda per watt. Det finns inte många detaljer som har delats för närvarande om det, och det fördubblas på RibbonFET och PowerVia.

Det enda som har förändrats sedan denna nod först avslöjades är att den från början var tänkt att använda High-NA EUV litografi, även om det inte längre är fallet. En del av anledningen till detta är att Intels 18A-nod lanseras något tidigare än vad som ursprungligen förväntades, och företaget drar tillbaka den till slutet av 2024 istället för 2025. Med ASML, det holländska företaget som tillverkar EUV-litografimaskiner, som fortfarande levererar sin första High-NA-skanner (Twinscan EXE: 5200) 2025, innebar det att Intel måste hoppa över den för 2024. För allt EUV, företag ha att gå till ASML förresten, så det finns inget alternativ.

Intels färdplan är ambitiös, men än så länge håller företaget fast vid den

Källa: Intel

Nu när du förstår Intels färdplan för de närmaste åren, skulle man vara rätt att säga att den är absolut ambitiös. Intel själva annonserar det som "fem noder på fyra år", eftersom de vet hur imponerande det är. Även om du kan förvänta dig att det kan finnas hicka på vägen, var den enda förändringen sedan Intel först presenterade denna plan 2021 att ta med Intel 18A fram till en ännu snabbare lansering. Det är allt. Allt annat har förblivit detsamma.

Huruvida Intel kommer att behålla sina progressiva tillägg framöver återstår att se, men det bådar gott att enda förändring som företaget har behövt göra var att göra sin mest avancerade nodlansering ännu snabbare än väntat. Även om det inte är klart om Intel kommer att vara en formidabel konkurrent till TSMC och Samsung fortfarande när det kommer till dess mer avancerade processer (särskilt när den når RibbonFET), är vi verkligen hoppfulla.