Дорожня карта Intel до 2025 року: пояснення Intel 7, 4, 3, 20A та 18A

click fraud protection

Intel окреслила свої нові процеси на наступні кілька років, але що це все означає?

Intel щойно представила свої процесори для ноутбуків Meteor Lake разом із Raptor Lake Refresh, і разом з цим прийшла нова прихильність компанії до дорожньої карти вузлів процесів, яку вона вперше опублікувала в 2021 році. У цій дорожній карті компанія заявляє, що хоче очистити п’ять вузлів за чотири роки, чого жодна інша компанія не досягла за останні роки. У власній дорожній карті Intel зазначено, що вона прагне досягти «лідерства в процесах» у 2025 році. Лідерство в процесі, за стандартами Intel, — це найвища продуктивність на ват. Як виглядає подорож до цього?

Дорожня карта Intel до 2025 року: короткий огляд

Джерело: Intel

Відповідно до наведеної вище дорожньої карти Intel завершила перехід на Intel 7 і Intel 4, а Intel 3, 20A і 18A з’являться в найближчі кілька років. Для довідки, компанія називає свій 10-нм техпроцес Intel 7, а свій 7-нм техпроцес Intel 4. Звідки походять ці назви (хоча можна стверджувати, що вони вводять в оману), Intel 7 має щільність транзисторів, дуже схожу на 7-нм TSMC, незважаючи на те, що Intel 7 створено за 10-нм техпроцесом. Те саме стосується Intel 4, і WikiChip фактично дійшов такого висновку

Intel 4, швидше за все, буде трохи щільнішим за 5-нм процес N5 від TSMC.

З огляду на це, де все стає дуже цікавим, це 20A та 18A. Кажуть, що 20A (2-нм техпроцес компанії) Intel досягне «паритету процесу» та дебютує з Arrow Lake і перше використання компанією PowerVia та RibbonFET, а потім 18A буде 1,8 нм з використанням PowerVia та RibbonFET, теж. Щоб отримати більш детальну розбивку, перегляньте діаграму, яку я зробив нижче.

За часів планарних МОП-транзисторів нанометрові вимірювання мали набагато більше значення, оскільки вони були об’єктивними вимірювань, але перехід на технологію 3D FinFET перетворив нанометричні вимірювання на простий маркетинг умови.

Intel 7: де ми зараз (начебто)

Джерело: Intel

Intel 7 — це те, що раніше було відомо як Intel 10nm Enhanced SuperFin (10 ESF), а пізніше компанія перейменувала його в Intel 7, що, по суті, було спробою узгодити себе з умовами найменування решти вигадки промисловість. Хоча хтось може стверджувати, що це вводить в оману, нанометричні вимірювання в чіпах на даний момент є не більш ніж маркетингом протягом багатьох років.

Intel 7 — це останній процес від Intel, який використовує глибоку ультрафіолетову літографію або DUV. Intel 7 використовувався для виробництва Alder Lake, Raptor Lake і нещодавно анонсованого Raptor Lake Refresh, який надійшов разом із Meteor Lake. Meteor Lake, однак, виробляється на Intel 4.

Intel 4: найближче майбутнє

Джерело: Intel

Intel 4 — це найближче майбутнє, якщо ви не користуєтеся ноутбуком, у такому випадку це сьогодення. Метеорне озеро виготовлений на Intel 4... в основному. Обчислювальна плитка нових процесорів Meteor Lake виготовлена ​​на Intel 4, але графічна плитка виготовлена ​​на TSMC N3. Ці дві плитки (разом із плиткою SoC і плиткою вводу/виводу) інтегровані за допомогою технології упаковки Foveros 3D від Intel. Цей процес зазвичай називають дезагрегацією, а еквівалент AMD називається чіплетом.

Однак основна зміна Intel 4 полягає в тому, що це перший із виробничих процесів Intel, у якому використовується екстремальна ультрафіолетова літографія. Це дозволяє збільшити врожайність і збільшити площу, щоб максимізувати енергоефективність. За словами Intel, Intel 4 має вдвічі більше масштабування області для бібліотек високопродуктивної логіки порівняно з Intel 7. Це 7-нм техпроцес компанії, який знову ж таки схожий на можливості того, що інші виробничі заводи в галузі називають своїми власними 5-нм і 4-нм техпроцесами.

Intel 3: подвоєння Intel 4

Intel 3 є продовженням Intel 4, але приносить із собою очікуваний приріст продуктивності на 18% на ват порівняно з Intel 4. Він має щільнішу високопродуктивну бібліотеку, але наразі призначений лише для використання центрів обробки даних із Sierra Forest і Granite Rapids. На даний момент ви не побачите цього в жодному споживчому процесорі. Ми мало знаємо про цей вузол, але враховуючи те, що він більше орієнтований на підприємства, звичайним споживачам не доведеться про це дуже піклуватися.

Intel 20A: Парність процесу

Джерело: Intel

Intel знає, що вона дещо відстає від решти індустрії, коли йдеться про процеси виготовлення, і у другій половині 2024 року компанія планує запустити Intel 20A для свого Arrow Lake. процесори. Це також стане дебютом компанії PowerVia та RIbbonFET, де RibbonFET — це просто інша назва (надана Intel) транзистору Gate All Around Field-Effect Transistor або GAAFET. TSMC переходить на GAAFET для свого 2-нм вузла N2, тоді як Samsung переходить до нього з 3-нм технологічним вузлом 3GAE.

Що особливого в PowerVia, це те, що він забезпечує зворотну подачу живлення по всьому чіпу, де сигнальні дроти та дроти живлення роз’єднані та оптимізовані окремо. Завдяки передній системі живлення, яка зараз є стандартом галузі, є великий потенціал вузьке місце через простір, а також потенційно відкриває такі проблеми, як цілісність живлення та сигнал втручання. PowerVia розділяє лінії сигналу та живлення, що теоретично забезпечує кращу подачу електроенергії.

Подача електроенергії з заднього боку не є новою концепцією, але це така проблема, яку було важко реалізувати протягом кількох років. Якщо ви врахуєте, що транзистори в PowerVia тепер знаходяться в певному сендвічі між живленням і сигналізацією (а транзистори є складну частину чіпа для виготовлення, оскільки вони несуть найбільший потенціал для дефектів), тоді ви виробляєте складну частину чіпа після ви вже виділили ресурси для інших частин. Поєднайте це з транзисторами, які є місцем, де генерується більшість тепла в ЦП, де вам тепер потрібно буде охолоджувати ЦП через рівень доставки електроенергії або доставки сигналу, і ви зрозумієте, чому технологію виявилося важко отримати правильно.

Кажуть, що цей вузол має на 15% покращення продуктивності на ват у порівнянні з Intel 3.

Intel 18A: дивлячись у майбутнє

18A від Intel є, безумовно, найдосконалішим вузлом, про який можна говорити, і його виробництво планується розпочати у другій половині 2024 року. Це буде використано для створення майбутнього споживчого процесора Lake і майбутнього ЦП центру обробки даних із підвищенням продуктивності до 10% на ват. Наразі про це не надто багато подробиць, і це подвоюється на RibbonFET і PowerVia.

Єдине, що змінилося після того, як цей вузол було вперше представлено, це те, що спочатку передбачалося використовувати літографію High-NA EUV, але це вже не так. Частково це пояснюється тим, що вузол Intel 18A запускається трохи раніше, ніж передбачалося спочатку, і компанія переносить його на кінець 2024 року замість 2025 року. Оскільки голландська компанія ASML, яка виробляє машини для літографії EUV, все ще постачає свій перший сканер High-NA (Twinscan EXE: 5200) у 2025 році, це означало, що Intel доведеться пропустити його до 2024 року. Для будь-чого EUV, компанії мати до речі, перейти до ASML, тому альтернативи немає.

Дорожня карта Intel амбітна, але поки що компанія її дотримується

Джерело: Intel

Тепер, коли ви розумієте дорожню карту Intel на наступні кілька років, можна буде сказати, що вона абсолютно амбітна. Intel сама рекламує це як «п’ять вузлів за чотири роки», оскільки вони знають, наскільки це вражає. Хоча ви можете очікувати, що на цьому шляху можуть виникнути проблеми, єдиною зміною з часу, коли Intel вперше оприлюднила цей план у 2021 році, було впровадження Intel 18A вперед до ще більш швидкого запуску. Це воно. Все інше залишилося без змін.

Чи збереже Intel свої прогресивні додатки в майбутньому, ще невідомо, але це свідчить про те, що Єдина зміна, яку довелося внести компанії, — це здійснити запуск свого найсучаснішого вузла навіть раніше, ніж очікувалося. Поки не ясно, чи буде Intel серйозним конкурентом TSMC і Samsung, коли це буде що стосується його більш просунутих процесів (особливо коли він досягає RibbonFET), ми, звичайно, сподіваємося.