Roteiro de processos da Intel para 2025: Intel 7, 4, 3, 20A e 18A explicado

click fraud protection

A Intel delineou seus novos processos para os próximos anos, mas o que tudo isso significa?

A Intel acaba de lançar seus processadores para laptop Meteor Lake junto com um Raptor Lake Refresh, e com isso veio um compromisso renovado com o roteiro de nós de processo da empresa, publicado pela primeira vez em 2021. Nesse roteiro, a empresa afirma que deseja limpar cinco nós em quatro anos, algo que nenhuma outra empresa consegue há anos. O próprio roteiro da Intel afirma que pretende alcançar a “liderança em processos” em 2025. A liderança em processos, pelos padrões da Intel, é o mais alto desempenho por watt. Como é a jornada para isso?

Roteiro da Intel até 2025: uma breve visão geral

Fonte: Intel

No roteiro acima, a Intel concluiu sua transição para Intel 7 e Intel 4, com Intel 3, 20A e 18A chegando nos próximos anos. Para referência, Intel 7 é o nome que a empresa dá ao seu processo de 10 nm, e Intel 4 é o nome que a empresa dá ao seu processo de 7 nm. A origem dos nomes (mesmo que se possa argumentar que são enganosos) é que o Intel 7 tem uma densidade de transistor muito semelhante à de 7 nm da TSMC, apesar do Intel 7 ser construído em um processo de 10 nm. O mesmo vale para o Intel 4, com o WikiChip chegando à conclusão de que

É muito provável que o Intel 4 seja um pouco mais denso que o processo N5 de 5 nm da TSMC.

Dito isso, onde as coisas ficam muito interessantes é com 20A e 18A. Diz-se que 20A (o processo de 2nm da empresa) é onde a Intel alcançará a “paridade de processo” e estreará com Arrow Lake e o primeiro uso de PowerVia e RibbonFET pela empresa, e então 18A será de 1,8 nm usando PowerVia e RibbonFET, também. Para uma análise mais detalhada, confira o gráfico que fiz abaixo.

Na época dos MOSFETs planares, as medições nanométricas eram muito mais importantes porque eram objetivas medições, mas a mudança para a tecnologia 3D FinFET transformou as medições nanométricas em mero marketing termos.

Intel 7: Onde estamos agora (mais ou menos)

Fonte: Intel

Intel 7 é o que era anteriormente conhecido como Intel 10nm Enhanced SuperFin (10 ESF), e a empresa mais tarde o rebatizou para Intel 7 no que foi essencialmente um esforço para se realinhar com as convenções de nomenclatura do resto da fabricação indústria. Embora se possa argumentar que é enganoso, as medições nanométricas em chips nada mais são do que marketing neste momento e já o são há vários anos.

Intel 7 é o último processo da Intel a usar litografia ultravioleta profunda, ou DUV. O Intel 7 foi usado para produzir Alder Lake, Raptor Lake e o recentemente anunciado Raptor Lake Refresh que chegou junto com Meteor Lake. Meteor Lake, no entanto, é produzido em Intel 4.

Intel 4: O futuro próximo

Fonte: Intel

Intel 4 é o futuro próximo, a menos que você seja um usuário de laptop; nesse caso, é o presente. Lago Meteoro é fabricado em Intel 4... majoritariamente. As novas CPUs do Tile computacional do Meteor Lake são fabricadas em Intel 4, mas o Tile gráfico é fabricado em TSMC N3. Esses dois blocos (junto com o bloco SoC e o bloco I/O) são integrados usando a tecnologia de empacotamento 3D Foveros da Intel. Esse processo é normalmente chamado de desagregação e o equivalente da AMD é chamado de chiplet.

No entanto, uma grande mudança no Intel 4 é que ele é o primeiro processo de fabricação da Intel a fazer uso de litografia ultravioleta extrema. Isso permite maior rendimento e dimensionamento de área para maximizar a eficiência energética. Como afirma a Intel, o Intel 4 tem o dobro do escalonamento de área para bibliotecas lógicas de alto desempenho quando comparado ao Intel 7. É o processo de 7 nm da empresa, que é novamente semelhante às capacidades do que outras fábricas da indústria chamam de seus próprios processos de 5 nm e 4 nm.

Intel 3: dobrando o Intel 4

O Intel 3 é uma continuação do Intel 4, mas traz consigo um ganho esperado de desempenho de 18% por watt em relação ao Intel 4. Ele tem uma biblioteca mais densa de alto desempenho, mas até o momento destina-se apenas ao uso de data centers com Sierra Forest e Granite Rapids. Você não verá este em nenhuma CPU de consumo no momento. Não sabemos muito sobre este nó, mas dado que é muito mais focado na empresa, os consumidores normais não terão que se preocupar muito com ele.

Intel 20A: paridade de processo

Fonte: Intel

A Intel sabe que está um pouco atrás do resto da indústria quando se trata de processos de fabricação, e no segundo semestre de 2024, pretende ter Intel 20A disponível e em produção para seu Arrow Lake processadores. Isso também estreará o PowerVia e o RIbbonFET da empresa, onde RibbonFET é simplesmente outro nome (dado pela Intel) para um Gate All Around Field-Effect Transistor, ou GAAFET. A TSMC está migrando para GAAFET para seu nó N2 de 2 nm, enquanto a Samsung está migrando para ele com seu nó de processo 3GAE de 3 nm.

O que há de especial no PowerVia é que ele permite o fornecimento de energia na parte traseira por meio de um chip, onde os fios de sinal e os fios de alimentação são desacoplados e otimizados separadamente. Com o fornecimento de energia frontal, o padrão da indústria atualmente, há um grande potencial para gargalos devido ao espaço e, ao mesmo tempo, potencialmente abrindo para problemas como integridade de energia e sinal interferência. O PowerVia separa as linhas de sinal e de energia, resultando em um fornecimento de energia teoricamente melhor.

O fornecimento de energia traseira não é um conceito novo, mas representa um desafio de implementação há vários anos. Se você considerar que os transistores no PowerVia estão agora em uma espécie de sanduíche entre potência e sinalização (e os transistores são os parte mais difícil de fabricar de um chip, pois apresentam maior potencial de defeitos), então você está produzindo a parte mais difícil do chip depois você já comprometeu recursos para as outras partes. Junte isso ao fato de os transistores serem onde a maior parte do calor de uma CPU é gerada, onde agora você precisará resfriar uma CPU através de uma camada de fornecimento de energia ou de sinal, e você verá por que a tecnologia tem se mostrado difícil de obter certo.

Diz-se que este nó tem uma melhoria de 15% no desempenho por watt em relação ao Intel 3.

Intel 18A: Olhando para o futuro

O 18A da Intel é de longe o nó mais avançado de que se pode falar e está previsto para começar a ser fabricado no segundo semestre de 2024. Isso será usado para produzir uma futura CPU Lake para o consumidor e uma futura CPU para data center, com um aumento de desempenho de até 10% por watt. Não há muitos detalhes compartilhados sobre isso no momento, e isso se duplica no RibbonFET e no PowerVia.

A única coisa que mudou desde que este nó foi revelado pela primeira vez é que inicialmente deveria usar litografia EUV de alto NA, embora esse não seja mais o caso. Parte da razão para isso é que o nó 18A da Intel será lançado um pouco antes do inicialmente previsto, com a empresa atrasando-o para o final de 2024, em vez de 2025. Com a ASML, a empresa holandesa que produz máquinas de litografia EUV, ainda enviando seu primeiro scanner High-NA (o Twinscan EXE: 5200) em 2025, isso significava que a Intel teria que ignorá-lo em 2024. Para qualquer coisa que seja EUV, as empresas ter a propósito, ir para ASML, então não há alternativa.

O roteiro da Intel é ambicioso, mas até agora a empresa está cumprindo-o

Fonte: Intel

Agora que você entende o roteiro da Intel para os próximos anos, seria correto dizer que é absolutamente ambicioso. A própria Intel anuncia isso como “cinco nós em quatro anos”, pois sabe o quão impressionante isso é. Embora você possa esperar que haja contratempos ao longo do caminho, a única mudança desde que a Intel revelou este plano pela primeira vez em 2021 foi trazer o Intel 18A avançar para um lançamento ainda mais rápido. É isso. Todo o resto permaneceu igual.

Ainda não se sabe se a Intel manterá suas adições progressivas no futuro, mas é um bom presságio que o A única mudança que a empresa teve que fazer foi lançar seu nó mais avançado ainda mais cedo do que o previsto. Embora não esteja claro se a Intel será um concorrente formidável da TSMC e da Samsung ainda quando No que diz respeito aos seus processos mais avançados (especialmente quando chega ao RibbonFET), certamente estamos esperançosos.